Processor Architectures.
Overview
Works: | 557 works in 208 publications in 208 languages |
---|
Titles
Konrad Zuse's early computers = the quest for the computer in Germany /
by:
(Language materials, printed)
Taking AIMS at digital design = analysis, improvement, modeling, and synthesis /
by:
(Language materials, printed)
Solutions architecture = a modern approach to cloud and digital systems delivery /
by:
(Language materials, printed)
Deep reinforcement learning processor design for mobile applications
by:
(Language materials, printed)
OpenMP : advanced task-based, device and compiler programming = 19th International Workshop on OpenMP, IWOMP 2023, Bristol, UK, September 13-15, 2023 : proceedings /
by:
(Language materials, printed)
Euro-Par 2023 = parallel processing : 29th International Conference on Parallel and Distributed Computing, Limassol, Cyprus, August 28 - September 1, 2023 : proceedings /
by:
(Language materials, printed)
OpenMP in a modern world = from multi-device support to meta programming : 18th International Workshop on OpenMP, IWOMP 2022, Chattanooga, TN, USA, September 27-30, 2022 : proceedings /
by:
(Language materials, printed)
Chip Multiprocessor Architecture = Techniques to Improve Throughput and Latency /
by:
(Language materials, printed)
Handbook of Biochips = Integrated Circuits and Systems for Biology and Medicine /
by:
(Language materials, printed)
Embedded System Design with ARM Cortex-M Microcontrollers = Applications with C, C++ and MicroPython /
by:
(Language materials, printed)
A Practical Guide for Simulation and FPGA Implementation of Digital Design
by:
(Language materials, printed)
Computer Systems = Digital Design, Fundamentals of Computer Architecture and ARM Assembly Language /
by:
(Language materials, printed)
Bits und Bytes in Mikrochips = Grundlagen der Technischen Informatik am Beispiel moderner Hardware /
by:
(Language materials, printed)
Formal Verification of Floating-Point Hardware Design = A Mathematical Approach /
by:
(Language materials, printed)
Euro-Par 2022: Parallel Processing = 28th International Conference on Parallel and Distributed Computing, Glasgow, UK, August 22–26, 2022, Proceedings /
by:
(Language materials, printed)
Blocks, Towards Energy-efficient, Coarse-grained Reconfigurable Architectures
by:
(Language materials, printed)
Supercomputing Frontiers = 7th Asian Conference, SCFA 2022, Singapore, March 1–3, 2022, Proceedings /
by:
(Language materials, printed)
3D Interconnect Architectures for Heterogeneous Technologies = Modeling and Optimization /
by:
(Language materials, printed)
Approximate Computing Techniques = From Component- to Application-Level /
by:
(Language materials, printed)
Completion Detection in Asynchronous Circuits = Toward Solution of Clock-Related Design Challenges /
by:
(Language materials, printed)
OpenMP in a Modern World: From Multi-device Support to Meta Programming = 18th International Workshop on OpenMP, IWOMP 2022, Chattanooga, TN, USA, September 27–30, 2022, Proceedings /
by:
(Language materials, printed)
High Performance Computing = ISC High Performance Digital 2021 International Workshops, Frankfurt am Main, Germany, June 24 – July 2, 2021, Revised Selected Papers /
by:
(Language materials, printed)
High Performance Computing = 36th International Conference, ISC High Performance 2021, Virtual Event, June 24 – July 2, 2021, Proceedings /
by:
(Language materials, printed)
Languages and Compilers for Parallel Computing = 32nd International Workshop, LCPC 2019, Atlanta, GA, USA, October 22–24, 2019, Revised Selected Papers /
by:
(Language materials, printed)
OpenMP: Enabling Massive Node-Level Parallelism = 17th International Workshop on OpenMP, IWOMP 2021, Bristol, UK, September 14–16, 2021, Proceedings /
by:
(Language materials, printed)
Computer Architecture and Organization = Fundamentals and Architecture Security /
by:
(Language materials, printed)
Mixed-Signal Embedded Systems Design = A Hands-on Guide to the Cypress PSoC /
by:
(Language materials, printed)
High Performance Computing = ISC High Performance Digital 2021 International Workshops, Frankfurt am Main, Germany, June 24 – July 2, 2021, Revised Selected Papers /
by:
(Language materials, printed)
The Next Era in Hardware Security = A Perspective on Emerging Technologies for Secure Electronics /
by:
(Language materials, printed)
Parallel Architectures, Algorithms and Programming = 11th International Symposium, PAAP 2020, Shenzhen, China, December 28–30, 2020, Proceedings /
by:
(Language materials, printed)
Proceedings of International Conference on Innovations in Software Architecture and Computational Systems = ISACS 2021 /
by:
(Language materials, printed)
High Performance Computing = 36th International Conference, ISC High Performance 2021, Virtual Event, June 24 – July 2, 2021, Proceedings /
by:
(Language materials, printed)
Algorithms and Architectures for Cryptography and Source Coding in Non-Volatile Flash Memories
by:
(Language materials, printed)
Hardware Supply Chain Security = Threat Modelling, Emerging Attacks and Countermeasures /
by:
(Language materials, printed)
Embedded System Design = Embedded Systems Foundations of Cyber-Physical Systems, and the Internet of Things /
by:
(Language materials, printed)
Authentication of Embedded Devices = Technologies, Protocols and Emerging Applications /
by:
(Language materials, printed)
Design for Testability, Debug and Reliability = Next Generation Measures Using Formal Techniques /
by:
(Language materials, printed)
Embedded Systems – A Hardware-Software Co-Design Approach = Unleash the Power of Arduino! /
by:
(Language materials, printed)
State Machines using VHDL = FPGA Implementation of Serial Communication and Display Protocols /
by:
(Language materials, printed)
IoT Platforms, Use Cases, Privacy, and Business Models = With Hands-on Examples Based on the VICINITY Platform /
by:
(Language materials, printed)
Languages and Compilers for Parallel Computing = 32nd International Workshop, LCPC 2019, Atlanta, GA, USA, October 22–24, 2019, Revised Selected Papers /
by:
(Language materials, printed)
OpenMP: Enabling Massive Node-Level Parallelism = 17th International Workshop on OpenMP, IWOMP 2021, Bristol, UK, September 14–16, 2021, Proceedings /
by:
(Language materials, printed)
Principles of High-Performance Processor Design = For High Performance Computing, Deep Neural Networks and Data Science /
by:
(Language materials, printed)
Operating Systems / Betriebssysteme = Bilingual Edition: English – German / Zweisprachige Ausgabe: Englisch – Deutsch /
by:
(Language materials, printed)
Design and Test Strategies for 2D/3D Integration for NoC-based Multicore Architectures
by:
(Language materials, printed)
Safe and Secure Cyber-Physical Systems and Internet-of-Things Systems
by:
(Language materials, printed)
Adaptive Digital Circuits for Power-Performance Range beyond Wide Voltage Scaling = From the Clock Path to the Data Path /
by:
(Language materials, printed)
System Verilog Assertions and Functional Coverage = Guide to Language, Methodology and Applications /
by:
(Language materials, printed)
A Synergistic Framework for Hardware IP Privacy and Integrity Protection
by:
(Language materials, printed)
Handbook of Biochips = Integrated Circuits and Systems for Biology and Medicine /
by:
(Language materials, printed)
Circadian Rhythms for Future Resilient Electronic Systems = Accelerated Active Self-Healing for Integrated Circuits /
by:
(Language materials, printed)
Secure and Trustworthy Cyberphysical Microfluidic Biochips = A practical guide to cutting-edge design techniques for implementing secure and trustworthy cyberphysical microfluidic biochips /
by:
(Language materials, printed)
Data-Driven Modeling of Cyber-Physical Systems using Side-Channel Analysis
by:
(Language materials, printed)
Ageing of Integrated Circuits = Causes, Effects and Mitigation Techniques /
by:
(Language materials, printed)
Advanced Computer Architecture = 13th Conference, ACA 2020, Kunming, China, August 13–15, 2020, Proceedings /
by:
(Language materials, printed)
OpenMP: Portable Multi-Level Parallelism on Modern Systems = 16th International Workshop on OpenMP, IWOMP 2020, Austin, TX, USA, September 22–24, 2020, Proceedings /
by:
(Language materials, printed)
On-Chip Current Sensors for Reliable, Secure, and Low-Power Integrated Circuits
by:
(Language materials, printed)
Automated Hierarchical Synthesis of Radio-Frequency Integrated Circuits and Systems = A Systematic and Multilevel Approach /
by:
(Language materials, printed)
Fail-operational Safety Architecture for ADAS/AD Systems and a Model-driven Approach for Dependent Failure Analysis
by:
(Language materials, printed)
Soft Error Reliability Using Virtual Platforms = Early Evaluation of Multicore Systems /
by:
(Language materials, printed)
The Fourth Terminal = Benefits of Body-Biasing Techniques for FDSOI Circuits and Systems /
by:
(Language materials, printed)
Viruses, Hardware and Software Trojans = Attacks and Countermeasures /
by:
(Language materials, printed)
Neuromorphic Computing and Beyond = Parallel, Approximation, Near Memory, and Quantum /
by:
(Language materials, printed)
Automated Analysis of Virtual Prototypes at the Electronic System Level = Design Understanding and Applications /
by:
(Language materials, printed)
Architecture of Computing Systems – ARCS 2020 = 33rd International Conference, Aachen, Germany, May 25–28, 2020, Proceedings /
by:
(Language materials, printed)
Heterogeneous Memory Organizations in Embedded Systems = Placement of Dynamic Data Objects /
by:
(Language materials, printed)
Parallel Architectures, Algorithms and Programming = 10th International Symposium, PAAP 2019, Guangzhou, China, December 12–14, 2019, Revised Selected Papers /
by:
(Language materials, printed)
Languages, Design Methods, and Tools for Electronic System Design = Selected Contributions from FDL 2018 /
by:
(Language materials, printed)
A Practical Approach to VLSI System on Chip (SoC) Design = A Comprehensive Guide /
by:
(Language materials, printed)
Job Scheduling Strategies for Parallel Processing = 10th International Workshop, JSSPP 2004, New York, NY, USA, June 13, 2004, Revised Selected Papers /
by:
(Language materials, printed)
High Performance Embedded Architectures and Compilers = Third International Conference, HiPEAC 2008, Goteborg, Sweden, January 27-29, 2008. Proceedings /
by:
(Language materials, printed)
Computer engineering and technology = 18th CCF Conference, NCCET 2014, Guiyang, China, July 29 - August 1, 2014 : revised selected papers /
by:
(Language materials, printed)
Parallel problem solving from nature - PPSN X = 10th international conference, Dortmund, Germany, September 13-17, 2008 : proceedings /
by:
(Language materials, printed)
Recent Advances in Parallel Virtual Machine and Message Passing Interface : = 12th European PVM/MPI User's Group Meeting, Sorrento, Italy, September 18-21, 2005, Proceedings
by:
(Language materials, printed)
Job Scheduling Strategies for Parallel Processing : = 11th International Workshop, JSSPP 2005, Cambridge, MA, USA, June 19, 2005, Revised Selected Papers
by:
(Language materials, printed)
Reconfigurable Computing: Architectures, Tools and Applications = 4th International Workshop, ARC 2008, London, UK, March 26-28, 2008. Proceedings /
by:
(Language materials, printed)
Component Models and Systems for Grid Applications = Proceedings of the Workshop on Component Models and Systems for Grid Applications held June 26, 2004 in Saint Malo, France. /
by:
(Language materials, printed)
Functional Verification of Programmable Embedded Architectures = A Top-Down Approach /
by:
(Language materials, printed)
Hybrid Systems: Computation and Control = 8th International Workshop, HSCC 2005, Zurich, Switzerland, March 9-11, 2005, Proceedings /
by:
(Language materials, printed)
Embedded Computer Systems: Architectures, Modeling, and Simulation = 5th International Workshop, SAMOS 2005, Samos, Greece, July 18-20, Proceedings /
by:
(Language materials, printed)
Embedded Software and Systems = First International Conference, ICESS 2004, Hangzhou, China, December 9-10, 2004, Revised Selected Papers /
by:
(Language materials, printed)
Computer Aided Systems Theory - EUROCAST 2005 : = 10th International Conference on Computer Aided Systems Theory, Las Palmas de Gran Canaria, Spain, February 7-11, 2005, Revised Selected Papers
by:
(Language materials, printed)
Advances in Computer Systems Architecture : = 10th Asia-Pacific Conference, ACSAC 2005, Singapore, October 24-26, 2005, Proceedings
by:
(Language materials, printed)
Embedded Software and Systems : = Second International Conference, ICESS 2005, Xi'an, China, December 16-18, 2005, Proceedings
by:
(Language materials, printed)
VLSI-SoC: Research Trends in VLSI and Systems on Chip = Fourteenth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France /
by:
(Language materials, printed)
Circuit and Interconnect Design for RF and High Bit-Rate Applications
by:
(Language materials, printed)
Embedded Computer Systems: Architectures, Modeling, and Simulation = 8th International Workshop, SAMOS 2008, Samos, Greece, July 21-24, 2008. Proceedings /
by:
(Language materials, printed)
Multimedia multiprocessor systems = analysis, design and management /
by:
(Language materials, printed)
Worst-case execution time aware compilation techniques for real-time systems
by:
(Language materials, printed)
Algorithm-architecture matching for signal and image processing = best papers from Design and architectures for signal and image processing 2007 & 2008 & 2009 /
by:
(Language materials, printed)
Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation : = 15th International Workshop, PATMOS 2005, Leuven, Belgium, September 21-23, 2005, Proceedings
by:
(Language materials, printed)
Hybrid Systems: Computation and Control = 11th International Workshop, HSCC 2008, St. Louis, MO, USA, April 22-24, 2008. Proceedings /
by:
(Language materials, printed)
Transactions on high-performance embedded architectures and compilers III
by:
(Language materials, printed)
Achievements in European Research on Grid Systems = CoreGRID Integration Workshop 2006 (Selected Papers) /
by:
(Language materials, printed)
Advances in power electronics and instrumentation engineering = second international conference, PEIE 2011, Nagpur, Maharashtra, India, April 21-22, 2011 : proceedings /
by:
(Language materials, printed)
Real-time systems = design principles for distributed embedded applications /
by:
(Language materials, printed)
Job scheduling strategies for parallel processing = 13th international workshop, JSSPP 2007, Seattle, WA, USA, June 17, 2007. revised papers /
by:
(Language materials, printed)
Applications and Theory of Petri Nets = 29th International Conference, PETRI NETS 2008, Xi'an, China, June 23-27, 2008. Proceedings /
by:
(Language materials, printed)
Recent advances in parallel virtual machine and message passing interface = 15th European PVM/MPI Users' Group Meeting, Dublin, Ireland, September 7-10, 2008 : proceedings /
by:
(Language materials, printed)
Device-level modeling and synthesis of high-performance pipeline ADCs
by:
(Language materials, printed)
Hardware/software architectures for low-power embedded multimedia systems
by:
(Language materials, printed)
OpenMP in the petascale era = 7th international workshop on OpenMP, IWOMP 2011, Chicago, IL, USA, June 13-15, 2011 : proceedings /
by:
(Language materials, printed)
Application analysis tools for ASIP design = application profiling and instruction-set customization /
by:
(Language materials, printed)
Making Grids Work = Proceedings of the CoreGRID Workshop on Programming Models Grid and P2P System Architecture Grid Systems, Tools and Environments 12-13 June 2007, Heraklion, Crete, Greece /
by:
(Language materials, printed)
Distributed and Parallel Systems = In Focus: Desktop Grid Computing /
by:
(Language materials, printed)
High Performance Embedded Architectures and Compilers : = First International Conference, HiPEAC 2005, Barcelona, Spain, November 17-18, 2005, Proceedings
by:
(Language materials, printed)
Scalable information systems = 4th International ICST Conference, INFOSCALE 2009, Hong Kong, June 10-11, 2009 : revised selected papers /
by:
(Language materials, printed)
Job Scheduling Strategies for Parallel Processing = 14th international workshop, JSSPP 2009, Rome, Italy, May 29, 2009 : revised papers /
by:
(Language materials, printed)
Nano-Net = 4th International ICST Conference, Nano-Net 2009, Lucerne, Switzerland, October 18-20, 2009 : proceedings /
by:
(Language materials, printed)
Recent advances in parallel virtual machine and message passing interface = 16th European PVM/MPI Users' Group Meeting, Helsinki, Finland, September 7-10, 2009 : proceedings /
by:
(Language materials, printed)
Euro-Par 2009 - parallel processing = 15th International Euro-Par Conference, Delft, The Netherlands, August 25-28, 2009 : proceedings /
by:
(Language materials, printed)
Analog circuit design = high-speed clock and data recovery, high-performance amplifiers, power management /
by:
(Language materials, printed)
Transactions on high-performance embedded architectures and compilers IV
by:
(Language materials, printed)
Hard real-time computing systems = predictable scheduling algorithms and applications /
by:
(Language materials, printed)
VLSI design = a practical guide for FPGA and ASIC implementations /
by:
(Language materials, printed)
Analysis and design of networks-on-chip under high process variation
by:
(Language materials, printed)
High-performance big-data analytics = computing systems and approaches /
by:
(Language materials, printed)
OpenMP = heterogenous execution and data movements : 11th International Workshop on OpenMP, IWOMP 2015, Aachen, Germany, October 1-2, 2015 : proceedings /
by:
(Language materials, printed)
Dynamic reconfiguration in real-time systems = energy, performance, and thermal perspectives /
by:
(Language materials, printed)
Partial reconfiguration on FPGAs = architectures, tools and applications /
by:
(Language materials, printed)
System-level validation = high-level modeling and directed test generation techniques /
by:
(Language materials, printed)
Design for high performance, low power, and reliable 3D integrated circuits
by:
(Language materials, printed)
An ASIC low power primer = analysis, techniques and specification /
by:
(Language materials, printed)
Computer engineering and technology = 16th National Conference, NCCET 2012, Shanghai, China, August 17-19, 2012 : revised selected papers /
by:
(Language materials, printed)
Facing the multicore-challenge III = aspects of new paradigms and technologies in parallel computing /
by:
(Language materials, printed)
Applied parallel and scientific computing = 11th International Conference, PARA 2012, Helsinki, Finland, June 10-13, 2012 : revised selected papers /
by:
(Language materials, printed)
Modeling, analysis and optimization of network-on-chip communication architectures
by:
(Language materials, printed)
3D video coding for embedded devices = energy efficient algorithms and architectures /
by:
(Language materials, printed)
Constraining designs for synthesis and timing analysis = a practical guide to synopsys design constraints (SDC) /
by:
(Language materials, printed)
Compilation and synthesis for embedded reconfigurable systems = an aspect-oriented approach /
by:
(Language materials, printed)
Energy-efficient communication processors = design and implementation for emerging wireless systems /
by:
(Language materials, printed)
Supercomputing = 28th International Supercomputing Conference, ISC 2013, Leipzig, Germany, June 16-20, 2013 : proceedings /
by:
(Language materials, printed)
OpenMP in the era of low power devices and accelerators = 9th International Workshop on OpenMP, IWOMP 2013, Canberra, ACT, Australia, September 16-18, 2013 : proceedings /
by:
(Language materials, printed)
OpenMP in a heterogeneous world = 8th International Workshop on OpenMP, IWOMP 2012, Rome, Italy, June 11-13, 2012 : proceedings /
by:
(Language materials, printed)
Introduction to open core protocol = fastpath to system-on-chip design /
by:
(Language materials, printed)
Tree-based heterogeneous FPGA architectures = application specific exploration and optimization /
by:
(Language materials, printed)
Computer engineering and technology = 17th CCF Conference, NCCET 2013, Xining, China, July 20-22, 2013 : revised selected papers /
by:
(Language materials, printed)
VLSI design and test = 17th International Symposium, VDAT 2013, Jaipur, India, July 27-30, 2013 : revised selected papers /
by:
(Language materials, printed)
The art of hardware architecture = design methods and techniques for digital circuits /
by:
(Language materials, printed)
Hardware/software co-design for heterogeneous multi-core platforms = the hArtes toolchain /
by:
(Language materials, printed)
System specification and design languages = selected contributions from FDL 2010 /
by:
(Language materials, printed)
Analog circuit design for process variation-resilient systems-on-a-chip
by:
(Language materials, printed)
Disruptive logic architectures and technologies = from device to system level /
by:
(Language materials, printed)
Grid computing = international symposium on grid computing (ISGC 2007) /
by:
(Language materials, printed)
High performance embedded architectures and compilers = fourth international conference, HiPEAC 2009, Paphos, Cyprus, January 2009 : proceedings /
by:
(Language materials, printed)
Computer performance evaluation and benchmarking = Spec Benchmark Workshop 2009, Austin, Tx, USA, January 25, 2009 : proceedings /
by:
(Language materials, printed)
Integrated circuit and system design = power and timing modeling, optimization and simulation : 18th International Workshop, PATMOS 2008, Lisbon, Portugal, September 10-12, 2008, revised selected papers /
by:
(Language materials, printed)
Grid and services evolution = proceedings of the 3rd CoreGRID workshop on grid middleware, June 5-6 2008, Barcelona, Spain /
by:
(Language materials, printed)
Reconfigurable computing = architectures, tools and applications : 5th International Workshop, ARC 2009, Karlsruhe, Germany, March 16-18, 2009. Proceedings /
by:
(Language materials, printed)
Hybrid systems = computation and control, 12th international conference, HSCC 2009, San Francisco, CA,USA, April 13-15, 2009, proceedings /
by:
(Language materials, printed)
Transactions on high-performance embedded architectures and compilers.. II
by:
(Language materials, printed)
Evolving OpenMP in an age of extreme parallelism = 5th International Workshop on OpenMP, IWOMP 2009 Dresden, Germany, June 3 - 5, 2009 ; Proceedings /
by:
(Language materials, printed)
Embedded systems development = from functional models to implementations /
by:
(Language materials, printed)
Programming heterogeneous MPSoCs = tool flows to close the software productivity gap /
by:
(Language materials, printed)
Exploring memory hierarchy design with emerging memory technologies
by:
(Language materials, printed)
SystemC and SystemC-AMS in Practice = SystemC 2.3, 2.2 and SystemC-AMS 1.0 /
by:
(Language materials, printed)
SystemVerilog assertions and functional coverage = guide to language, methodology and applications /
by:
(Language materials, printed)
Introduction to embedded systems = using microcontrollers and the MSP430 /
by:
(Language materials, printed)
Models, methods, and tools for complex chip design = selected contributions from FDL 2012 /
by:
(Language materials, printed)
Emerging memory technologies = design, architecture, and applications /
by:
(Language materials, printed)
Integrated circuit authentication = hardware trojans and counterfeit detection /
by:
(Language materials, printed)
Source-synchronous networks-on-chip = circuit and architectural interconnect modeling /
by:
(Language materials, printed)
Scheduling real-time streaming applications onto an embedded multiprocessor
by:
(Language materials, printed)
Design-for-test and test optimization techniques for TSV-based 3D stacked ICs
by:
(Language materials, printed)
Parallel Computational Fluid Dynamics = 25th International Conference, ParCFD 2013, Changsha, China, May 20-24, 2013. Revised Selected Papers /
by:
(Language materials, printed)
Scalable and near-optimal design space exploration for embedded systems
by:
(Language materials, printed)
Out-of-order parallel discrete event simulation for electronic system-level design
by:
(Language materials, printed)
Microarchitecture of network-on-chip routers = a designer's perspective /
by:
(Language materials, printed)
Languages, design methods, and tools for electronic system design = selected contributions from FDL 2013 /
by:
(Language materials, printed)
Embedded systems design for high-speed data acquisition and control
by:
(Language materials, printed)
Flip-flop design in nanometer CMOS = from high speed to low energy /
by:
(Language materials, printed)
Functional verification of dynamically reconfigurable FPGA-based systems
by:
(Language materials, printed)
Model-driven design using IEC 61499 = a synchronous approach for embedded and automation systems /
by:
(Language materials, printed)
IP cores design from specifications to production = modeling, verification, optimization, and protection /
by:
(Language materials, printed)
Distributed embedded controller development with petri nets = application to globally-asynchronous locally-synchronous systems /
by:
(Language materials, printed)
FPGAs and parallel architectures for aerospace applications = soft errors and fault-tolerant design /
by:
(Language materials, printed)
Computer engineering and technology = 19th CCF Conference, NCCET 2015, Hefei, China, October 18-20, 2015 : revised selected papers /
by:
(Language materials, printed)
Heterogeneous reconfigurable processors for real-time baseband processing = from algorithm to architecture /
by:
(Language materials, printed)
Reliable software for unreliable hardware = a cross layer perspective /
by:
(Language materials, printed)
Memory controllers for mixed-time-criticality systems = architectures, methodologies and trade-offs /
by:
(Language materials, printed)
3D stacked chips = from emerging processes to heterogeneous systems /
by:
(Language materials, printed)
Languages, design methods, and tools for electronic system design = selected contributions from FDL 2015 /
by:
(Language materials, printed)
Engineering applications of FPGAs = chaotic systems, artificial neural networks, random number generators, and secure communication systems /
by:
(Language materials, printed)
Reversible and quantum circuits = optimization and complexity analysis /
by:
(Language materials, printed)
Advanced computer architecture = 11th Conference, ACA 2016, Weihai, China, August 22-23, 2016 : proceedings /
by:
(Language materials, printed)
OpenMP = memory, devices, and tasks : 12th International Workshop on OpenMP, IWOMP 2016, Nara, Japan, October 5-7, 2016 : proceedings /
by:
(Language materials, printed)
High performance computing = ISC High Performance 2016 International Workshops, ExaComm, E-MuCoCoS, HPC-IODC, IXPUG, IWOPH, P^3MA, VHPC, WOPSSS, Frankfurt, Germany, June 19-23, 2016 : revised selected papers /
by:
(Language materials, printed)
Swarm intelligence based optimization = second International Conference, ICSIBO 2016, Mulhouse, France, June 13-14, 2016 : revised selected papers /
by:
(Language materials, printed)
Computer engineering and technology = 20th CCF Conference, NCCET 2016, Xi'an, China, August 10-12, 2016 : revised selected papers /
by:
(Language materials, printed)
Brain-inspired computing = second International Workshop, BrainComp 2015, Cetraro, Italy, July 6-10, 2015 : revised selected papers /
by:
(Language materials, printed)
From variability tolerance to approximate computing in parallel integrated architectures and accelerators
by:
(Language materials, printed)
Computer-aided design of microfluidic very large scale integration (mVLSI) biochips = design automation, testing, and design-for-testability /
by:
(Language materials, printed)
Parallel architecture, algorithm and programming = 8th International Symposium, PAAP 2017, Haikou, China, June 17-18, 2017 : proceedings /
by:
(Language materials, printed)
Transactions on large-scale data- and knowledge-centered systems XXXIV = special issue on consistency and inconsistency in data-centric applications /
by:
(Language materials, printed)
Low-level programming = C, Assembly, and program execution on Intel 64 architecture /
by:
(Language materials, printed)
Task scheduling for multi-core and parallel architectures = challenges, solutions and perspectives /
by:
(Language materials, printed)
VLSI design and test = 21st International Symposium, VDAT 2017, Roorkee, India, June 29 - July 2, 2017 : revised selected papers /
by:
(Language materials, printed)
Scaling OpenMP for exascale performance and portability = 13th International Workshop on OpenMP, IWOMP 2017, Stony Brook, NY, USA, September 20-22, 2017 : proceedings /
by:
(Language materials, printed)
Advanced parallel processing technologies = 12th International Symposium, APPT 2017, Santiago de Compostela, Spain, August 29, 2017 : proceedings /
by:
(Language materials, printed)
Architecture of computing systems - ARCS 2018 = 31st International Conference, Braunschweig, Germany, April 9-12, 2018 : proceedings /
by:
(Language materials, printed)
Simulation and optimization of digital circuits = considering and mitigating destabilizing factors /
by:
(Language materials, printed)
Energy efficient high performance processors = recent approaches for designing green high performance computing /
by:
(Language materials, printed)
Trusted digital circuits = hardware trojan vulnerabilities, prevention and detection /
by:
(Language materials, printed)
Timing performance of nanometer digital circuits under process variations
by:
(Language materials, printed)
Physically unclonable functions = from basic design principles to advanced hardware security applications /
by:
(Language materials, printed)
Advanced techniques for power, energy, and thermal management for clustered manycores
by:
(Language materials, printed)
High performance computing = 33rd International Conference, ISC High Performance 2018, Frankfurt, Germany, June 24-28, 2018 : proceedings /
by:
(Language materials, printed)
Bioinformatics research and applications = 14th International Symposium, ISBRA 2018, Beijing, China, June 8-11, 2018 : proceedings /
by:
(Language materials, printed)
Parallel computational technologies = 12th International Conference, PCT 2018, Rostov-on-Don, Russia, April 2-6, 2018 : revised selected papers /
by:
(Language materials, printed)
Advanced computer architecture = 12th Conference, ACA 2018, Yingkou, China, August 10-11, 2018 : proceedings /
by:
(Language materials, printed)
Introduction to parallel computing = from algorithms to programming on state-of-the-art platforms /
by:
(Language materials, printed)
Transactions on large-scale data- and knowledge-centered systems XXXIX = Special Issue on Database- and Expert-Systems Applications /
by:
(Language materials, printed)
Trends in functional programming = 19th International Symposium, TFP 2018, Gothenburg, Sweden, June 11-13, 2018 : revised selected papers /
by:
(Language materials, printed)
High performance computing = 32nd International Conference, ISC High Performance 2017, Frankfurt, Germany, June 18-22, 2017 : proceedings /
by:
(Language materials, printed)
Modeling and simulation of invasive applications and architectures
by:
(Language materials, printed)
Parallel computational technologies = 13th International Conference, PCT 2019, Kaliningrad, Russia, April 2-4, 2019 : revised selected papers /
by:
(Language materials, printed)
New data structures and algorithms for logic synthesis and verification
by:
(Language materials, printed)
Green IT engineering = concepts, models, complex systems architectures /
by:
(Language materials, printed)
Electromigration inside logic cells = modeling, analyzing and mitigating signal electromigration in NanoCMOS /
by:
(Language materials, printed)
Formal verification of Simulink/Stateflow diagrams = a deductive approach /
by:
(Language materials, printed)
The dark side of silicon = energy efficient computing in the dark silicon era /
by:
(Language materials, printed)
Languages and compilers for parallel computing = 29th International Workshop, LCPC 2016, Rochester, NY, USA, September 28-30, 2016 : revised papers /
by:
(Language materials, printed)
Hardware security and trust = design and deployment of integrated circuits in a threatened environment /
by:
(Language materials, printed)
Fundamentals of IP and SoC security = design, verification, and debug /
by:
(Language materials, printed)
Microarchitecture of Network-on-Chip Routers = A Designer's Perspective /
by:
(Language materials, printed)
Three-Dimensional Design Methodologies for Tree-based FPGA Architecture
by:
(Language materials, printed)
Languages, Design Methods, and Tools for Electronic System Design = Selected Contributions from FDL 2013 /
by:
(Language materials, printed)
Functional Verification of Dynamically Reconfigurable FPGA-based Systems
by:
(Language materials, printed)
Model-Driven Design Using IEC 61499 = A Synchronous Approach for Embedded and Automation Systems /
by:
(Language materials, printed)
OpenMP: Heterogenous Execution and Data Movements = 11th International Workshop on OpenMP, IWOMP 2015, Aachen, Germany, October 1-2, 2015, Proceedings /
by:
(Language materials, printed)
Analysis and Design of Networks-on-Chip Under High Process Variation
by:
(Language materials, printed)
Computer Engineering and Technology = 18th CCF Conference, NCCET 2014, Guiyang, China, July 29 -- August 1, 2014. Revised Selected Papers /
by:
(Language materials, printed)
Embedded Systems Design for High-Speed Data Acquisition and Control
by:
(Language materials, printed)
Out-of-order Parallel Discrete Event Simulation for Electronic System-level Design
by:
(Language materials, printed)
High-Performance Big-Data Analytics = Computing Systems and Approaches /
by:
(Language materials, printed)
Flip-Flop Design in Nanometer CMOS = From High Speed to Low Energy /
by:
(Language materials, printed)
Advanced Computer Architecture = 11th Conference, ACA 2016, Weihai, China, August 22-23, 2016, Proceedings /
by:
(Language materials, printed)
Languages, Design Methods, and Tools for Electronic System Design = Selected Contributions from FDL 2015 /
by:
(Language materials, printed)
FPGAs and Parallel Architectures for Aerospace Applications = Soft Errors and Fault-Tolerant Design /
by:
(Language materials, printed)
Distributed Embedded Controller Development with Petri Nets = Application to Globally-Asynchronous Locally-Synchronous Systems /
by:
(Language materials, printed)
SystemVerilog Assertions and Functional Coverage = Guide to Language, Methodology and Applications /
by:
(Language materials, printed)
3D Stacked Chips = From Emerging Processes to Heterogeneous Systems /
by:
(Language materials, printed)
Embedded Controller = Grundlagen und praktische Umsetzung für industrielle Anwendungen /
by:
(Language materials, printed)
Reversible and Quantum Circuits = Optimization and Complexity Analysis /
by:
(Language materials, printed)
Brain-Inspired Computing = Second International Workshop, BrainComp 2015, Cetraro, Italy, July 6-10, 2015, Revised Selected Papers /
by:
(Language materials, printed)
Memory Controllers for Mixed-Time-Criticality Systems = Architectures, Methodologies and Trade-offs /
by:
(Language materials, printed)
IP Cores Design from Specifications to Production = Modeling, Verification, Optimization, and Protection /
by:
(Language materials, printed)
Heterogeneous Reconfigurable Processors for Real-Time Baseband Processing = From Algorithm to Architecture /
by:
(Language materials, printed)
Computer Engineering and Technology = 19th CCF Conference, NCCET 2015, Hefei, China, October 18-20, 2015, Revised Selected Papers /
by:
(Language materials, printed)
Engineering Applications of FPGAs = Chaotic Systems, Artificial Neural Networks, Random Number Generators, and Secure Communication Systems /
by:
(Language materials, printed)
OpenMP: Memory, Devices, and Tasks = 12th International Workshop on OpenMP, IWOMP 2016, Nara, Japan, October 5-7, 2016, Proceedings /
by:
(Language materials, printed)
Swarm Intelligence Based Optimization = Second International Conference, ICSIBO 2016, Mulhouse, France, June 13-14, 2016, Revised Selected Papers /
by:
(Language materials, printed)
Computer Engineering and Technology = 20th CCF Conference, NCCET 2016, Xi'an, China, August 10-12, 2016, Revised Selected Papers /
by:
(Language materials, printed)
Reliable Software for Unreliable Hardware = A Cross Layer Perspective /
by:
(Language materials, printed)
High Performance Computing = ISC High Performance 2016 International Workshops, ExaComm, E-MuCoCoS, HPC-IODC, IXPUG, IWOPH, P^3MA, VHPC, WOPSSS, Frankfurt, Germany, June 19–23, 2016, Revised Selected Papers /
by:
(Language materials, printed)
Bioinformatics Research and Applications = 14th International Symposium, ISBRA 2018, Beijing, China, June 8-11, 2018, Proceedings /
by:
(Language materials, printed)
Advanced Computer Architecture = 12th Conference, ACA 2018, Yingkou, China, August 10-11, 2018, Proceedings /
by:
(Language materials, printed)
Simulation and Optimization of Digital Circuits = Considering and Mitigating Destabilizing Factors /
by:
(Language materials, printed)
Evolving OpenMP for Evolving Architectures = 14th International Workshop on OpenMP, IWOMP 2018, Barcelona, Spain, September 26–28, 2018, Proceedings /
by:
(Language materials, printed)
Self-powered SoC Platform for Analysis and Prediction of Cardiac Arrhythmias
by:
(Language materials, printed)
Introduction to Parallel Computing = From Algorithms to Programming on State-of-the-Art Platforms /
by:
(Language materials, printed)
Hybrid ADCs, Smart Sensors for the IoT, and Sub-1V & Advanced Node Analog Circuit Design = Advances in Analog Circuit Design 2017 /
by:
(Language materials, printed)
Parallel Computational Technologies = 12th International Conference, PCT 2018, Rostov-on-Don, Russia, April 2–6, 2018, Revised Selected Papers /
by:
(Language materials, printed)
Embedded Flash Memory for Embedded Systems: Technology, Design for Sub-systems, and Innovations
by:
(Language materials, printed)
Transactions on Large-Scale Data- and Knowledge-Centered Systems XXXIX = Special Issue on Database- and Expert-Systems Applications /
by:
(Language materials, printed)
Invasive Computing for Mapping Parallel Programs to Many-Core Architectures
by:
(Language materials, printed)
Trusted Digital Circuits = Hardware Trojan Vulnerabilities, Prevention and Detection /
by:
(Language materials, printed)
Timing Performance of Nanometer Digital Circuits Under Process Variations
by:
(Language materials, printed)
Physically Unclonable Functions = From Basic Design Principles to Advanced Hardware Security Applications /
by:
(Language materials, printed)
Silicon Photonics & High Performance Computing = Proceedings of CSI 2015 /
by:
(Language materials, printed)
Computer Engineering and Technology = 21st CCF Conference, NCCET 2017, Xiamen, China, August 16–18, 2017, Revised Selected Papers /
by:
(Language materials, printed)
Architecture of Computing Systems – ARCS 2018 = 31st International Conference, Braunschweig, Germany, April 9–12, 2018, Proceedings /
by:
(Language materials, printed)
Languages, Design Methods, and Tools for Electronic System Design = Selected Contributions from FDL 2016 /
by:
(Language materials, printed)
Advanced Techniques for Power, Energy, and Thermal Management for Clustered Manycores
by:
(Language materials, printed)
Brain-Machine Interface = Closed-loop Bidirectional System Design /
by:
(Language materials, printed)
Computer Systems = Digital Design, Fundamentals of Computer Architecture and Assembly Language /
by:
(Language materials, printed)
Automated Validation & Verification of UML/OCL Models Using Satisfiability Solvers
by:
(Language materials, printed)
Fog Computing in the Internet of Things = Intelligence at the Edge /
by:
(Language materials, printed)
Euro-Par 2017: Parallel Processing Workshops = Euro-Par 2017 International Workshops, Santiago de Compostela, Spain, August 28-29, 2017, Revised Selected Papers /
by:
(Language materials, printed)
High Performance Computing = 33rd International Conference, ISC High Performance 2018, Frankfurt, Germany, June 24-28, 2018, Proceedings /
by:
(Language materials, printed)
Energy Efficient High Performance Processors = Recent Approaches for Designing Green High Performance Computing /
by:
(Language materials, printed)
OpenSHMEM and Related Technologies. Big Compute and Big Data Convergence = 4th Workshop, OpenSHMEM 2017, Annapolis, MD, USA, August 7-9, 2017, Revised Selected Papers /
by:
(Language materials, printed)
Job Scheduling Strategies for Parallel Processing = 21st International Workshop, JSSPP 2017, Orlando, FL, USA, June 2, 2017, Revised Selected Papers /
by:
(Language materials, printed)
Embedded System Design = Embedded Systems Foundations of Cyber-Physical Systems, and the Internet of Things /
by:
(Language materials, printed)
Internet-of-Things (IoT) Systems = Architectures, Algorithms, Methodologies /
by:
(Language materials, printed)
Energy Efficient Embedded Video Processing Systems = A Hardware-Software Collaborative Approach /
by:
(Language materials, printed)
ASIC/SoC Functional Design Verification = A Comprehensive Guide to Technologies and Methodologies /
by:
(Language materials, printed)
Practical Security Properties on Commodity Computing Platforms = The uber eXtensible Micro-Hypervisor Framework /
by:
(Language materials, printed)
Embedded Computer Systems: Architectures, Modeling, and Simulation = 19th International Conference, SAMOS 2019, Samos, Greece, July 7–11, 2019, Proceedings /
by:
(Language materials, printed)
Security Policy in System-on-Chip Designs = Specification, Implementation and Verification /
by:
(Language materials, printed)
High Performance Computing = 34th International Conference, ISC High Performance 2019, Frankfurt/Main, Germany, June 16–20, 2019, Proceedings /
by:
(Language materials, printed)
Parallel and Distributed Computing, Applications and Technologies = 19th International Conference, PDCAT 2018, Jeju Island, South Korea, August 20-22, 2018, Revised Selected Papers /
by:
(Language materials, printed)
Parallel Computational Technologies = 13th International Conference, PCT 2019, Kaliningrad, Russia, April 2–4, 2019, Revised Selected Papers /
by:
(Language materials, printed)
Supercomputing = 10th International Conference on Supercomputing in Mexico, ISUM 2019, Monterrey, Mexico, March 25–29, 2019, Revised Selected Papers /
by:
(Language materials, printed)
Computer Engineering and Technology = 22nd CCF Conference, NCCET 2018, Yinchuan, China, August 15–17, 2018, Revised Selected Papers /
by:
(Language materials, printed)
The Impact of Service Oriented Architecture Adoption on Organizations
by:
(Language materials, printed)
Sequential and Parallel Algorithms and Data Structures = The Basic Toolbox /
by:
(Language materials, printed)
Harnessing Performance Variability in Embedded and High-performance Many/Multi-core Platforms = A Cross-layer Approach /
by:
(Language materials, printed)
Fundamentals of Modern Electric Circuit Analysis and Filter Synthesis = A Transfer Function Approach /
by:
(Language materials, printed)
OpenMP: Conquering the Full Hardware Spectrum = 15th International Workshop on OpenMP, IWOMP 2019, Auckland, New Zealand, September 11–13, 2019, Proceedings /
by:
(Language materials, printed)
Energy Limits in Computation = A Review of Landauer’s Principle, Theory and Experiments /
by:
(Language materials, printed)
Long-Term Reliability of Nanometer VLSI Systems = Modeling, Analysis and Optimization /
by:
(Language materials, printed)
Micro-Electrode-Dot-Array Digital Microfluidic Biochips = Design Automation, Optimization, and Test Techniques /
by:
(Language materials, printed)
Power Estimation on Electronic System Level using Linear Power Models
by:
(Language materials, printed)
Trends in Functional Programming = 19th International Symposium, TFP 2018, Gothenburg, Sweden, June 11–13, 2018, Revised Selected Papers /
by:
(Language materials, printed)
High-Performance Modelling and Simulation for Big Data Applications = Selected Results of the COST Action IC1406 cHiPSet /
by:
(Language materials, printed)
Architecture of Computing Systems – ARCS 2019 = 32nd International Conference, Copenhagen, Denmark, May 20–23, 2019, Proceedings /
by:
(Language materials, printed)
Design Automation Techniques for Approximation Circuits = Verification, Synthesis and Test /
by:
(Language materials, printed)
OpenSHMEM and Related Technologies. OpenSHMEM in the Era of Extreme Heterogeneity = 5th Workshop, OpenSHMEM 2018, Baltimore, MD, USA, August 21–23, 2018, Revised Selected Papers /
by:
(Language materials, printed)
Modeling and Simulation of Invasive Applications and Architectures
by:
(Language materials, printed)
Languages, Design Methods, and Tools for Electronic System Design = Selected Contributions from FDL 2017 /
by:
(Language materials, printed)
Languages and Compilers for Parallel Computing = 30th International Workshop, LCPC 2017, College Station, TX, USA, October 11–13, 2017, Revised Selected Papers /
by:
(Language materials, printed)
Design Automation for Differential MOS Current-Mode Logic Circuits
by:
(Language materials, printed)
Formal Verification of Floating-Point Hardware Design = A Mathematical Approach /
by:
(Language materials, printed)
Computer Engineering and Technology = 23rd CCF Conference, NCCET 2019, Enshi, China, August 1–2, 2019, Revised Selected Papers /
by:
(Language materials, printed)
RADIO--Robots in Assisted Living = Unobtrusive, Efficient, Reliable and Modular Solutions for Independent Ageing /
by:
(Language materials, printed)
8051 Microcontrollers = Fundamental Concepts, Hardware, Software and Applications in Electronics /
by:
(Language materials, printed)
Show more
Fewer
Subjects